半导体2020展望:从应用到行业的全面复苏

2020年服务器半导体市场增长>10%

来源:第三代半导体联合创新孵化中心

在经历持续一年多的中美关税战、贸易战及技术禁售战,造成中国及美国的消费者买方成本增加及企业资本投资转趋保守,而减少汽车、消费性电子、手机、电脑的消费,以及减少对工业用及数据中心服务器的投资,加上部分半导体产品像是内存DRAM、闪存3DNAND、挖矿机GPU、12”/8”大硅片、LCD显示屏、各种电力功率、微控制单元(MCU)、电阻/电容(ChipResistor,、MLCC)产品在制造商、客户,通路堆积了大量库存而造成的各产品价格下跌,需求锐减加上部分产品下跌造成全球半导体市场于2019年同比下跌近13%到4,102亿美元,而存储器行业同比下跌超过30%,逻辑半导体同比下跌近2%。存储器市场占全球半导体市场达到近三年低点的27%。

但受惠于中美贸易战不再恶化、消费者信心改善、企业资本投资逐步增加、数据中心增加先进制程10nm/7nm服务器在云端及边缘运算端的投资、谷歌力推Stadia云端游戏平台、全球电动、半自驾/自驾车出货比例的提升(目前占不到2%)、5G所带动的手机/边缘运算网络/基地站/核心网络/数据中心的需求(650亿美元增量vs.2019年4,100亿美元市场)、3-5颗摄像头、真无线耳机TWS、屏下指纹、射频前端及毫米波射频天线在手机的需求大增,配合内闪存存储器、7.5/8.5代线LCD、电阻/电容大厂持续砍资本开支,降价清库存,减产出产能,各产品价格逐步趋稳,有些产品甚至因为摩尔定律趋缓造成晶圆代工成本涨价而跟涨,我们估计全球半导体市场将在2020/2021年同比增长9%/12%达到4,471/5,008亿美元,先进晶圆代工市场从过去10年的5-10%营收同比增长转变到未来10年的10-15%同比增长。因为预期明年下半年现货价/合约价格同步反弹,存储器行业复苏幅度(12%/26%y/y)应该会高于逻辑半导体市场同比增长幅度(7.9%/6.6%)。

在这篇报告中,我们看到摩尔定律趋缓造成的芯片单价提升及小芯片架构的二个趋势,评估服务器/手机/车用半导体的三个驱动力复苏,看好国内半导体设计,设备,存储器,存储器封测四个次行业的加速增长,还有五家价值企业长电科技、太极实业、中微公司、澜起科技和三安光电。

二、半导体设计应用篇-上行周期的产品驱动力 

1、计算机应用篇-拐点已现

我们估计全球计算机半导体(服务器,桌上型计算机,笔电x86CPU,GPU,AI)市场将在2020/2021年同比增长6%/6%,但预期整个市场应该是由AMD的7纳米ROME,华为7nm鲲鹏服务器ARMCPU,中国长城16nm的四核飞腾FT-2000/4,信骅及新唐的服务器远端控制芯片BMC(BaseboardManagementController),AIASIC/GPU,澜起的内存接口芯片所带动超过10%同比营收的增长。

2020年服务器半导体市场增长>10%:我们的假设基础是服务器市场于2019年衰退近8%,(英特尔之前公布其今年服务器x86CPU出货量在1Q/2Q/3Q19同比衰退了8%/12%/6%)。但在三季度同比需求拐点出现后,我们估计全球服务器市场出货量在2020/2021年有8%/24%的同比增长机会,而统计彭博分析师对全球服务器制造商及半导体相关公司营收的预期,全球服务器制造商(浪潮,中科曙光,纬颖,广达)于2020年应该可以同比增长15%,全球服务器半导体市场可以同比增长7%,但我们认为,服务器朝向更先进制程(Intel10nm,10nm+,AMD7nm,7nm+,5nm),更多核芯运算,更多PCIExpress接口,及更多内存通道方向迈进,加上良率不佳,产能短缺,所以我们不排除单价的提升会让2020年全球服务器半导体市场同比增长轻易地超过10%。

服务器产业链受惠可期:当然服务器及服务器半导体市场的复苏,也会带动内存DRAM,闪存3DNAND市场,以及x86CPU大载板,服务器CPU插槽(嘉泽),服务器x86CPU晶圆代工(台积电7nm,7nm+,5nm),封测(通富微-AMD,日月光/长电-海思鲲鹏)市场的复苏。

Chiplets小芯片架构利好封测及ABF大载板行业:尤其当英特尔未来也要跟随AMD在2021年推出小芯片(chiplets)大载板架构10nm++的服务器x86EagleStreamCPU及FPGA来改善良率及成本,我们期待这趋势利好于封测及ABF(AjinomotoBuild-upFilm)大载板行业及其龙头厂商Ebiden,Shinko,欣兴Unimicron,南亚电路板NanyaPCB。ABF树酯载板是由英特儿所主导的材料,适合高脚数,细线路,高传输,耐高温x86CPU封装。

2、智能手机应用篇-5G,TWS,屏下指纹

因为5G(AP,基频,射频前端,基地站,天线射频),真无线耳机(TrueWirelessStereo),屏下指纹的需求带动下,国金证券研究所估计全球智能手机半导体(AP,基频,射频前端,天线模组,基地站,内存,闪存,TWSMCU,NOR,光学屏下指纹,AR/ToFVCSEL)市场将在2020年同比大幅增长15%,远优于智能手机出货量同比增长的0-5%。

5G提高手机半导体价值:手机半导体市场2020/2021年同比增长将大幅超过手机出货同比增量,主要是因为每支5G手机所用到的半导体价值是4G手机半导体价值的2倍以上。根据我们及高通的预期,5G手机会从今年的500-1,000万支,成长到2020年的1.8-2.2亿台,及2021年的4亿台,而每支4G智能手机的半导体价值(AP+基频)将从20-40美元,提升到5G手机的50-80美元,这将是带动全球智能手机半导体市场同比大幅增长的主因。

非美/国产替代是进行式:除了5G所带动的半导体增值成长外,我们还看到了国产手机芯片替代进行式,尤其是美国Trump政府自从技术封锁中兴通讯,华为,中科曙光,海光,海康,大华,科大讯飞,旷视,商汤,美亚柏科,依图,颐信科技以来,国内系统厂商,尤其是手机制造商,从此立志采取非美替代策略,其顺位以中国大陆半导体设计为优先,然后是中国台湾,韩国,日本,最后是欧洲。这也将造成高通,Skyworks,Qorvo,Broadcom,镁光在中国大陆市场的份额逐季减少,海思,联发科,瑞昱,稳懋,三安,卓胜微,三星,海力士的份额增加,虽然这对整体市场同比增长不会有影响,但对国内及非美手机半导体增长有正面的帮助。

3、车用电子应用篇-百花齐放 

因为中美关税战趋缓,全球汽油及电动车需求触底缓步回升,全球电动车持续增加份额对PowerMOSFET,IGBT,SiC,GaN半导体电力功率分立器件的需求,还有辅助驾驶进化到SAE3,4,5自动驾驶对各种AI,MCU,CPU,GPU,FPGA,感测器,毫米波雷达,光达,摄像头CIS,WiFi,蓝牙,有线网络,电源管理PMIC,存储器半导体的需求带动下,国金证券研究所估计全球车用半导体市场将从2019年的同比衰退2%,到2020年的同比增长8%,远优于全球汽油车/电动车出货量同比增长的0-5%。

车用半导体增值篇:不同于手机半导体市场2020/2021年同比增长将大幅超过手机出货同比增量,主要是因为每只5G手机所用到的半导体价值是4G手机半导体价值的2倍以上,每部SAELevel5自动驾驶电动车所用到的半导体价值可能是2019年人驾汽油车半导体价值的10倍以上,我们初估最基本的PowerMOSFET就需要10倍以上增量,摄像头,CIS,雷达传感器,MLCC,电源管理芯片要五倍增量,2倍的传感器Sensor,更不用说大量的射频功率放大器,有线通讯,人工智能,及多种电力功率芯片,这将是带动未来20年全球车用半导体市场5-10%复合增长率,每车半导体价值从2019年不到3%的比重,逐年拉高的主因,即使未来20年全球汽油车/电动车出货量同比增长<5%。

电动车可能将再次拉动高阶MLCC需求:刚才提到电动车需要5倍MLCC的增量,2020/2021年电动车重启增长应该会大幅改善MLCC的供给过剩,减少市场库存,稳定价格崩跌,让MLCC行业逐步复苏,我们建议重点关注中国大陆的风华高科,中国台湾的国巨,华新科,美国的Vishay,还有日本的Murata等MLCC龙头大厂。

4、电力功率应用篇-IGBT,SiC,GaN

2017年全球功率分立器件市场规模约154亿美元,同比增长12.2%,主要是电动汽车及IOT等新兴市场需求,预计2023年将达到188亿美元,2016-2023年均复合增速4.4%。除了电动车外,电力功率半导体的应用场景还包括电力传送,高铁,充电站,冷气,微波炉,照明系统,光伏,风电,工业用等等。功率半导体器件按照下游应用领域,主要可以分为五大类,包括工业控制(市场占比约为23%),消费电子(20%),计算机(20%),汽车电子(18%),网络通信(15%)。MOSFET、IGBT、整流桥是功率半导体中最为重要的三个细分产品,2017年MOSFET在功率器件中的占比达到41%,整流桥21%,功率模块占比23%,IGBT则为7%。而根据YoleDeveloppement统计和预测,17-21年功率器件市场规模CAGR为5.39%,其中MOSFETs(5.23%),IGBT(9.02%),功率模块(6.20%),二极管(2.8%),晶闸管(2.71%),整流桥(4.72%)。

目前的电力功率半导体还是以低功率的硅MOSFET还有高功率的IGBT为主,但当消费者为了600V以下低电力功率应用,需求更轻薄短小的电源供应器(PowerSupply)及笔电用电源适配器(ACadapter),预计将转用GaNHEMT;而600V以上的中,高电力功率光伏变频器,马达控制,服务器不断电系统电源供应器,智慧电网充电站,车载充电器,降压转换器,电动车传动系统的主驱直流/交流逆变器(DC/ACInverter)应用,预计将以高压,高频,高效率的SiCMOSFET来逐步取代现在主流的IGBT。国金证券研究所估计全球电力功率半导体市场将从2019年的同比衰退3%,到2020年的同比增长9%,但根据YoleDeveloppement之前的预测,2018-2024SiC半导体市场复合增长率有29%,而2017-2023GaN半导体市场复合增长率有24%。

市场大,但国产份额低:中国大陆电力功率市场占比全球达40%,电力功率器件细分的主要几大产品在中国的市场份额均处于第一位。其中,PowerMOSFET中国市场规模占比全球为39%,IGBT为43%,BJT为49%,电源管理IC为47%,其他如晶闸管,整流器,IGBT模组等等产品中国市场占比均在40%左右。国内龙头全球市占率依旧很低,与国际大厂差距明显:与整个半导体产业类似,对比海外的功率器件IDM大厂,国内的功率器件龙头企业(扬杰科技、华微电子、士兰微、斯达半导体等)的年销售额仍是巨头们的几十分之一且产品结构偏低端,表示中国大陆电力功率器件的市场规模与自主化率严重不相匹配,国产替代的空间巨大,目前,中国大陆电力功率半导体产业正在快速发展,闻泰科技收购了安世半导体后,后期有望积极扩产,有望充分受益国产化替代。

汽车电动化和智能化为功率器件行业的核心驱动力:根据2019年IHS报告,汽车电子增长最快的细分市场将由高级驾驶辅助系统(ADAS)和混合动力/电动汽车电子产品所带领,年复合增长率有望分别达到13%和29%。汽车电动化是大势所趋,世界各国都在大力发展新能源汽车,出台了燃油车禁售时间表。预计到2025年,新能源汽车将占据新车销量的半壁江山,其中纯电动将占全球汽车销量的10%,混动将占据全球新车销量的40%。新能源车行业有望继续保持快速增长:2018年全球新能源车销量201.8万辆,预测2022年将达到600万辆,18-22年销量CAGR达到25.86%。根据中汽协发布的产销数据,2018年,新能源汽车产量及销量分别为127万辆和125.6万辆,同比分别增长59.9%和61.7%,产量及销量连续三年位居全球第一。预测到2020年,中国新能源汽车实现当年产销230万辆,新能源车将在全球范围内(尤其是中国)加速渗透。轻型车功率器件2020年市场超百亿美元。汽车中采用大量的半导体器件,根据StrategyAnalytics和Infineon数据,燃油车单车半导体价值量约375美元,纯电动增加一倍,约750美元。其中,传统燃油车中功率器件单车价值量71美元,48V轻度混动车中功率器件单车价值量146美元,重度混动车和插电混动车中功率器件单车价值量371美元,而纯电动车中功率器件成本为455美元,占比车用半导体61%,相较于燃油车增长541%。我们认为混动和纯电动汽车的加速渗透将成为功率器件行业最强劲的驱动力。

汽车和工控领域,2017-2021年MOSFETs复合增速5.23%:2016年,全球MOSFETs市场规模接近62亿美元,受益于汽车和工控领域的稳定增长,功率MOSFETs在汽车应用市场的市场占到整体的20%,超过了在计算机和数据存储应用中的表现。预计到2022年,随着新能源汽车销量的快速放量,功率MOSFETs在汽车应用市场占比将提升至22%,而计算存储和工控领域的市场占比则分别达到19%和14%,三者合计占到55%。MOSFETs被用于汽车的刹车系统,引擎管理,动力转向系统和其他小型电机控制电路:随着汽车电动化提升,MOSFETs在纯电动汽车和混动汽车中的转换器(Converter),小型插电式混动汽车和纯电动汽车的充电器(3-6kW),48V的DC-DC转换器,以及其他启动/停止功能模块的微型逆变器等等汽车零部件中的应用将会更加广泛。未来5-10年,电动车用MOSFETs在整个MOSFETs市场中会变得越来越重要。

预测IGBT16-22年复合增速15.7%:2017年,全球IGBT芯片和模组的市场规模约为37.3亿美元,Yole预测,2022年IGBT(含IGBT模组)市场空间将达到55亿美金,年均复合增长8.1%,主要的增长即来自于IGBT模组。下游应用领域的主要驱动力主要来自于汽车电动化带来的需求,2016年汽车IGBT市场为8.64亿美元,2022年将增长至约20.7亿美元,16-22年CAGR为15.7%,2022年汽车IGBT市场占比整体市场将达到接近40%。另一个驱动力是电机IGBT,Yole预测电机IGBT市场16-22年CAGR为4.6%。消费电子、白电等领域未来将会向节能方向发展,因此对400-1700V功率的IGBT仍然有比较稳定增长的需求,16-22年白电领域对IGBT需求CAGR为6%。2018-2025年,中国IGBT市场年复合增长率达19.11%。集邦咨询《2019中国IGBT产业发展及市场报告》显示,2017年中国IGBT市场规模约为128亿元,2018年预计153亿元,相较2017年同比增长19.91%。受益于新能源汽车和工业领域的需求大幅增加,中国IGBT市场规模仍将持续增长,到2025年,中国IGBT市场规模将达到522亿人民币,年复合增长率达19.11%。

海外巨头垄断:据IHS统计,2017年全球功率半导体器件与模组市场规模为185亿美元,欧美日呈现三足鼎立之势,英飞凌位居第一,占比18.6%,安森美次之,占比8.9%,前十大公司合计市占率达到58.9%,日本企业占据5席,合计占比达到19.7%。2017年,全球MOSFET市场规模达到66.5亿美元,英飞凌以绝对优势排名第一,市占率达到26.3%,前五大公司市占率达到62.5%。2017年,分立IGBT市场规模为11亿美元,英飞凌排名第一,市占率高达38.5%,前五大公司合计占比达到71.5%。

国内电力功率半导体突围:我国是全球最大的功率半导体市场,国际龙头企业较大部分收入来自中国地区,以达尔科技和恩智浦为例,其收入的58%和41%来自中国大陆。由此可见,我国功率半导体市场需求量巨大,本土厂商拥有非常大的国产替代空间。在全球功率半导体市场上,中高端产品生产厂商主要集中在欧洲、美国和日本地区。欧美日的功率半导体厂商大部分属于IDM厂商,英飞凌、达尔科技、安森美、恩智浦等是行业中的龙头企业。我国功率半导体市场占据全球50%左右的需求份额,在高端产品领域,90%依赖进口。我国电力功率半导体厂商主要为IDM模式,生产链较为完善,但产品主要集中在二极管、低压MOS器件、晶闸管等低端领域,生产工艺成熟且具有成本优势。而在新能源、轨道交通等高端产品领域,国内仅有极少数厂商拥有生产能力,高端产品市场主要被英飞凌、安森美、瑞萨、东芝等欧美日厂商所垄断。目前国内外IGBT市场仍主要由外国企业占据,虽然我国IGBT市场需求增长迅速,但由于国内相关人才缺乏,工艺基础薄弱,国内企业产业化起步较晚,IGBT模块至今仍几乎全部依赖进口,市场主要由欧洲、日本及美国企业占领。同时,国内企业由于芯片供应主要源于国外,制约性较强,因此发展较为缓慢。

三、半导体产业篇-核心竞争力何在?

1、晶圆代工业-5G、服务器、功率 

在受到中美关税提升对低阶消费性电子,车用零组件,工业用产品需求的影响,及美国对华为及其68家子公司,中科曙光,天津海光先进技术,成都海光集成,成都海光微电子,海康,大华,科大讯飞,旷视,商汤,厦门美亚柏科,依图,颐信科技进行半导体产品及应用/作业系统软件封锁,晶圆代工行业的美国客户多少受到程度不等的影响,而直接造成2019的半导体及晶圆代工景气下行周期(同比衰退1%),这是继2014年以来首度衰退,但受惠于服务器半导体行业超过10%的增长,5G所带动的智能手机半导体市场将在2020年同比大幅增长15%,车用,工业用,电力功率半导体市场约8-9%的同比增长,英特尔x86CPU及FPGA14及10纳米良率不佳所造成的产能不足,补新产品库存,及全球晶圆代工份额增加,国金证券研究所估计全球晶圆代工市场将于2020/2021年的同比增长14%/11%,并估计国内晶圆代工生产销售额将于2020/2021年的同比增长16%/15%,自给率从2019年的20%,缓步提升到2020/2021年的21%。

台积电将带动同业大幅拉高未来的资本开支:为了能趁三星7纳米量产不顺,英特尔产能短缺拿下更多7纳米,7纳米EUV,5纳米EUV的服务器CPU及5G应用处理器/基频芯片的份额,台积电日前宣布大幅提高2019年资本开支到140-150亿美元(为了7纳米加了US$15亿美元,为了5纳米需求加了25亿美元),2020年还是保持在140-150亿美元资本开支,2019年的capextosales一口气从之前的35%拉到42%。台积电CEO魏哲家博士说看到5G及高速运算带动明年上半年即将量产的5纳米制程工艺的需求,并上修其对2020年5G手机的预测从6个月前的个位数占全球智能手机比重到15%(15亿x15%=2.25亿)。加上我们预估台积电晶圆代工价格每年以3-5%同比增长率逐步提升,除了预估台积电明年同比增长达15%外,我们预期台积电将上修其未来五年营收复合增长率从过去的5-10%调整到10-15%,而同业跟进拉高资本开支可期。而因为先进制程工艺晶圆代工价格每年增长,加上摩尔定律微缩速度(每片晶圆因微缩而增加芯片数量的增幅)慢于晶圆代工涨价,我们预期同大小芯片代工成本也会逐年增加。

中芯国际/华虹营收增长可期,但获利受技术影响:虽然中芯国际于2020年将量产14纳米制程,及试产12/7纳米产品,即使2020年底产能将拉高到每月15,000片,但因初期折旧费过高及良率问题,我们估计2020年14纳米量产营收贡献将低于10%,获利贡献应为减项。而华虹于2020年将首次量产12”90/55纳米MCU,电力功率芯片,两年同比营收增长可各达20%,但因将每月40000片扩产提前于三年完成(本预计四年),2020年整体折旧费用增加近倍,我们预估其2020年毛利率将比2019年下滑超过5个点,低于市场预期,毛利率<25%,营业利润率<10%,将可能成为常态。

世界先进将受惠于8”复苏:世界先进于2019年底将正式合并格芯GF新加坡厂,对2020年毛利率会多少受到些影响,但2020年8”晶圆代工将受惠于车用,工业用,电力功率用半导体复苏,而汇顶/神盾屏下及超薄屏下指纹芯片(3-5x芯片面积增大)的量产可能导致8”晶圆代工产能趋紧。

2、逻辑封测业-国产替代

5G手机单机半导体消费量提升。5G手机相比4G手机支持频段数量增加,同时考虑到5G手机将继续兼容4G、3G、2G标准,因此5G手机的射频前端相比4G复杂程度将大大提高。根据射频前端龙头大厂Qorvo的预估,相比4G手机,5G手机中的滤波器将从40个增加至70个,频带从15个增加至30个,接收机发射机滤波器从30个增加至75个,射频开关从10个增加至30个。

手机射频前端整体市场规模将从2017年的123亿美元增长到2022年的228亿美元,复合年增长率达到13%,这些变化将加大射频前端系统封测(SiP,systeminapackage)的需求。目前射频前端市场主要是由5家厂商主导:村田、Qorvo、Avago、Skyworks和TDKEpcos以IDM模式(晶圆制造/封测自家生产模式)为主导,而随着高通、海思、联发科、展讯等Fabless公司入局射频前端市场,在5G射频前端市场取得一定市场份额都会带动相应晶圆代工及逻辑封测需求。

从封测设备看,根据全球最大封测设备厂ASMPacific的数据,我们也看到了行业的复苏信号,特别是在中国地区由于国产替代复苏迹象明显。集团BB值2019年三季度为0.97,仍然低于1,但是已经从一季度的0.7触底反弹。而大多数时候具有领先意义的物料分部的新增订单金额已经三个季度环比增长。而公司预计来自中国的订单甚至在传统淡季的四季度也将保持强劲趋势,显示了目前中国地区封测行业的高景气度,我们认为这种高景气度将延续到2020年。

受惠于美国Trump政府对国内龙头科技公司如华为,海康等进行实体清单的半导体设计技术禁售,国内龙头设计公司如海思,韦尔/豪威,卓胜微,汇顶,圣邦,兆易创新,澜起等成为非美替代的最主要赢家,而国内封测厂因为与日月光,Amkor技术差距不像中芯国际与晶圆代工龙头技术差距这么大,而顺势成为国产核芯设计替代转单的另一批受惠行业。举例而言,海思的国内封测订单2020年继续增长,2023年市场空间有望达200亿元。海思加速非美替代是IC产业链特别是IC封测产业加速国产化的重要原因。2018年海思销售收入为76亿美元,同比增长34.2%,是全球第五大芯片设计公司。2019年上半年,海思销售收入同比增长25%。预计随着华为芯片自给进程加快,我们认为海思的销售收入将在未来3-5年内维持较高的增长速度,2019-2023复合增速有望达到20%,至2023年销售收入有望达到188亿美元。预计采购成本约为113亿美元(用手机市场平均毛利率为假设),其中封测成本约占采购成本25%,2023年海思封测订单市场空间有望达到28亿美元,约合190-200亿元人民币。而在供应链安全被高度重视的背景下,大陆封测企业、台积电、日月光都将是海思订单快速增长的受益者。在最高端芯片产品上,台积电提供的跟先进制程搭配的集成型扇出封装(InFO)和其它先进封装技术的一条龙服务的模式具有领先优势,大陆企业暂时仍不具备承接能力。而在其它产品上,国内封测企业如长电科技将成为海思封测订单转移的最主要受益者,假设长电能从2019年的10%海思封测份额,增长到2023年的40%封测份额,海思订单占长电营收将从2019年不到5%增长到2023年的超过20%。

在受到中美关税提升对全球各科技产品需求的影响,及美国对国内龙头科技公司进行半导体产品及应用/作业系统软件封锁,全球及国内封测行业的美国客户多少受到程度不等的影响,而直接造成2019的全球及国内封测行业景气下行周期(同比0%到-1%),但受惠于摩尔定律趋缓带动小芯片来驱动更多3DIC封测,载板需求,半导体客户陆续进入上行周期,国产半导体替代的一条龙模式,5GSiP/AiP,UWB,屏下指纹,TWS,AR眼睛的新封测需求,国金证券研究所估计全球封测市场将于2020/2021年的同比增长12%/12%,并估计国内逻辑封测生产销售额将于2020/2021年的同比增长15%/15%,自给率从2019年的22%,缓步提升到2021年的23%。

AMD服务器市场崛起,提升OSAT订单需求:2017年AMD推出Zen架构,并且基于Zen架构和后续的Zen2架构相继推出第一代EPYC服务器芯片Naples和第二代EPYC7纳米服务器芯片Rome,以及针对PCCPU市场的Ryzen系列。凭借全新的架构设计和与台积电的合作,AMD实现了处理器性能的追赶甚至超越,市场份额也实现回升。2017年AMD在DesktopPC市场份额为8.6%,2017年市场份额为9.1%,2019年三季度市场份额已经达到18.0%,我们认为随着AMD的Zen2架构新产品的推出,AMD的市场份额有望继续提升。而在服务器市场,2016年AMD市场份额仅为0.6%,而到2019年三季度市场份额达到4.3%,因为AMD7纳米服务器CPU不管在设计及制程都领先英特尔,我们初步估计2020年四季度有机会达到8-10%。AMD的Fabless+Foundry模式及Chiplets(小芯片大载板)设计模式利好OSAT(OutsourcedSemiconductorAssemblyandTest)。相比Intel的IDM模式,AMD晶圆代工由台积电和GlobalFoundries完成,封测则由矽品和通富微电完成,随着AMD的CPU放量,产业链相应封测厂也将受惠。但我们目前预告台积电将利用其前段制程的优势跨足下个世代先进AMDChipletsCPU封测,跟矽品及通富微电抢食封测大饼。

先进封装技术层出不穷:随着制程微缩难度增加,芯片尺寸越来越大、良率改善越加恶化,从过去的SoC(Systemonachip)改成SoS(Systemonasubstrate)系统在大载板的小芯片架构变成良率不佳的解决方案,因而导引出各种先进封装技术,如圆级封装(WLP)、硅通孔(TSV)、3D堆叠以及系统封装(SiP)等。台积电提出InFO(集成扇出型,IntegratedFan-Out)和CoWOS(ChiponWaferonSubstrate)封装技术。InFO封装减小了芯片的30%的厚度。CoWoS能让此类产品的效能提升3到6倍。此外台积电也推出了前道3D封装工艺SoIC(system-on-integrated-chips)和全新的WoW(多晶圆堆叠,Wafer-on-Wafer)。SoIC能对10纳米以下的制程进行晶圆级的接合技术。该技术没有突起的键合结构,因此有更佳的性能。具有革命性意义的工艺技术WoW将两层Die以镜像方式垂直堆叠起来,有望用于生产显卡GPU,创造出晶体管规模更大的GPU。WoW技术通过10μm的硅穿孔方式连接上下两块die,这样一来可以在垂直方向上堆叠更多die,也意味着die之间的延迟通信极大地减少,引入更多的核心。为了跟台积电拼技术,英特尔提出Foveros封装及嵌入式多核心互联桥接(EMIB)封装技术,这些技术可将CPU与存储器,基频整合在硅片上,再透过SolderBumps与大载板连结。可惜的是这些最先进封装技术都是由台积电及英特尔来主导,而不是现有的封装大厂,因为晶圆代工厂和IDM厂在先进封装上有天然优势,能结合其自身前道技术,更快地把握技术的发展趋势,并且有更多的试错机会,形成对其它OSAT厂的竞争优势。

SIP封装渗透率提升是大势所趋:SIP是从封装的立场出发,对不同芯片进行并排或叠加的封装方式,将多个具有不同功能的有源电子元件与可选无源器件,以及诸如MEMS或者光学器件等其他器件优先组装到一起,实现一定功能的单个标准封装模组。SIP封装具有如下显著优势:(1)封装效率大大提高,SIP封装技术在同一封装体内加多个芯片,大大减少了封装体积;(2)SIP封装实现了以不同的工艺、材料制作的芯片封装可形成一个系统;(3)SIP封装技术可以使多个封装合而为一,可使总的焊点大为减少,缩短元件的连接路线,从而使电性能得以提高;(4)SIP封装采用一个封装体,实现了一个系统目标产品的全部互连以及功能和性能参数,可同时利用引线键合与倒装焊互连以及其他IC芯片直接内连技术。(5)SIP封装可提供低功耗和低噪音的系统级连接,在较高的频率下工作可获得几乎与SOC相等的汇流排宽度。我们认为SIP封装技术将获得更广泛的采用,以缩小射频模组尺寸。目前,射频前端模组的SIP架构中,在单个封装中包含10~15个裸片(开关、滤波器、功率放大器)和几种类型的互连技术(引线键合、倒装芯片、铜柱)。而5G手机中射频器件数量将大幅增加,这与智能手机轻薄化的大趋势相逆,所以采用SIP封装缩小模组尺寸是比较理想的解决方案。根据Yole预测,到2023年SIP射频前端市场规模有望增加到49亿美元,2017年到2023年复合增速为12%。到2023年,手机SIP射频前端和其它无线连接SIP射频市场将分别达到SIP射频市场规模的82%和18%。

SIP封装在TWS、智能手表,AR眼镜的潜力:TWS耳机具有空间小、零组件多、结构复杂等特点,是SIP封装天然的应用场景。TWS耳机与普通蓝牙耳机对比,在便携度、高清音质、体积、智能化水平、防水等方面优势明显,但在元器件数量和复杂度上也大幅提升。以AirPods为例,一对AirPods耳机以及1个充电设备共有28个主要组件以及数百个元器件。除了声学器件、解码芯片等组件外,AirPods集成了数个不同功能的传感器,包括语音加速传感器、运动加速传感器、光学传感器和MEMS麦克风。未来TWS有望集成更多功能,包括降噪、身体健康监测等,模组内元件数量将持续提升,同时更多品牌的加入将导致产品开发周期缩短,先进封装技术有望成为关键解决方案。TWS目前主要供应商是安靠,长电为次要供应商。环旭,立讯都在做认证,有可能成为供应商。

无中生有的AIP封测需求:类似于SIP的架构,AIP(Antennainpackaging)随着5G毫米波(Millimeterwave)比重提升而兴起,虽然2020年5Gsub-6G才是主流,但我们预估4-5年后超过50%的5G手机是同时具备Sub-6Ghz及毫米波,但因为架构不同,5G毫米波需要配备2组以上的毫米波天线模组(包括射频IC,收发器,天线),假设五年后全球有5亿台5Gsub-6Ghz及毫米波手机,全球将需要超过10-15亿颗毫米波天线模组,超过100-150亿美元的AiP封测需求,或超过18-27%的全球封测需求。

3、存储封测业-静待三大存储器大厂量产

不同于逻辑封测虽然市场庞大,但封装技术演进快速,重量级竞争者众多,晶圆制造商又靠其晶圆前段多投片,补后段封装良率的优势来抢食逻辑封装大饼,存储封测行业就相对的单纯,除了三星,海力士,东芝,镁光,英特尔的自有封测厂外,主要竞争者为中国台湾的力成,南茂,华东科技,还有中国大陆的紫光宏茂(长江存储3DNAND,紫光重庆DRAM),通富微电(合肥长鑫),太极实业(合肥长鑫),沛顿(合肥长鑫)。而受惠于全球5G市场更新换代,云端数据中心重启服务器建制的资本开支,我们预期存储器行业2020下半年供需趋向平衡,预期需求及价格全面上涨,加上国内存储器晶圆制造大厂陆续量产,国金证券研究所估计全球存储器封测市场将于2020/2021年的同比增长12%/12%,并估计国内存储器封测生产销售额将于2020/2021年的同比增长16%/60%,存储器封测自给率预计将从2019年的9%,快速提升到2021/2022年的14-16%。

存储芯片封装重大量,单一,重复,低脚数,及堆叠:不同于逻辑产品对封装的要求及提早受到摩尔定律微缩放慢的影响,存储器大厂对其DRAM内存及NANDFlash闪存封装多使用重复性,大量,单一化,低脚数,堆叠式的叠层封装(PoP,PackageonPackage),堆叠式的硅穿孔(TSV,ThroughSiliconVia),堆叠式的多芯片模组(MCM,Multi-ChipModule),细间距球栅阵列(FC-BGA,FlipChipBallGridArray),薄型小尺寸封装(TSOP,ThinSmallOutlinePackage),引线框架(LeadFrame)。

存储芯片测试设备和设备配置大不同,专业逻辑芯片测试厂不具成本竞争力:类似的状况延伸到存储芯片、存储卡和模组的测试业务,因为存储芯片主要是由存储单元组成,配合少量的逻辑控制芯片,封测厂需要从全球半导体测试设备大厂日本爱德万(Advantest,27%的设备销售是存储芯片测试设备,约占2/3全球市场份额)及美国泰瑞达(Teradyne,15%的设备销售是存储芯片测试设备,约占1/3全球市场份额)购置大量(>80%)的存储器芯片测试机台(测良率,测存储芯片数据的传输速度),再配合少量的逻辑芯片测试机台,因为测试设备和设备配置的大不同,加上封装生产模式及封装技术的截然不同,逻辑封测大厂想要利用其现有设备兼差跨入存储器封测市场是不具备技术及成本竞争力的,所以我们认为通富微电想要跨入存储器封测是不具备技术与成本竞争力的,而紫光宏茂过去是南茂在中国大陆的DRAM内存封测厂,要全面转向3DNAND闪存封测接下长江存储的全部订单,我们估计也是有相当的难度。

4、存储器行业-全球复苏,国内暴量静待2020下半年

受惠于全球5G市场更新换代,云端数据中心重启服务器建制的资本开支,全球存储器库存月数逐步合理化,我们预期存储器行业2020下半年存储器价涨量增逐步复苏,但在2020下半年复苏之前,目前各存储器大厂库存仍高达四个月以上,DRAM内存短期现货价持续破底,但3DNAND,SLCNAND,NOR合约价反弹,现货价持稳,存储器大厂持续减产及降低资本开支,也影响到存储器设备商大厂LamResearch泛林,AppliedMaterials应用材料,TokyoElectron东京电子的短期业绩动能,及影响全球存储器封测行业,模组,及模组PCB行业的增长动能。

我们预期存储器行业2020下半年供需趋向平衡,需求及价格全面上涨,加上国内存储器晶圆制造大厂陆续量产,国金证券研究所估计全球存储器市场将于2020/2021年的同比增长12%/26%,并估计国内存储器芯片生产销售额将于2020/2021年的同比大幅增长91%/126%,存储器芯片自给率预计将从2019年的1%,快速提升到2021/2022年的4-6%。

全球存储器大厂降资本开支,但国内升资本开支:虽然市调机构DRAMeXchange预期2020年全球DRAM大厂会同比减少资本开支达13%到157亿美元,全球NAND大厂会同比大幅减少资本开支达18%到165亿美元,但我们认为这主要是因为DRAMeXchange低估了国内2020存储行业的资本开支(40亿美元左右)及扩产进度。

国内存储器扩产,利好于设备,封测,模组,洁净室总包设计:虽然我们预期国内3DNAND大厂长江存储,MobileDRAM大厂合肥长鑫,及PCDRAM大厂紫光重庆短期内因设计及晶圆制造技术工艺的差距与庞大的折旧费用而无法获利,但不同于晶圆代工行业时时刻刻在等待半导体设计客户的下单,客户常常更改芯片设计,客户要求降晶圆代工价才会转订单,话语权都在IC设计客户手上,而国内存储器大厂都会掌控自我研发设计及先进制程工艺的叠代演进,重复制造高标准化的产品,透过大量制造来改善良率,话语权在自己手上。所以我们比较看好中国大陆存储器行业未来20年扩产后所学习到的竞争力及庞大资本开支的投入,这是利好于设备(中微,北方华创,精测),存储器封测(紫光宏茂,太极实业,通富微电,沛顿),模组(紫光存储),还有洁净室总包及设计的十一科技/太极实业,我们目前初步估计2022-2025年国内存储器行业的资本开支是>3倍于逻辑晶圆代工行业的资本开支,2024-2025年国内存储器行业的营收是>2倍于逻辑晶圆代工行业。

5、半导体设备行业-重中之重

随着5G正式开启商用化所需要的基地站布建,手机的改4G换5G,服务器芯片的复苏,加上TWS,屏下指纹,多摄像头CIS的爆量需求,导致7nm及EUV7nm晶圆代工设备投资率先全球半导体设备行业复苏。我们预期存储器设备投资将于2020年二季度回暖,2020年下半年全球半导体设备同比全面复苏,从2019年的同比衰退9%,到2020/2021年的同比9%/11%增长。就美国半导体设备月营收而言,同比底部出现在2019年4月份的-27%,持续改善到10月份的-1%,明显领先SIA/WSTS公布全球半导体行业的同比营收衰退13%,同比底部落后三个月出现在2019年7月份的-16%。

不同于全球半导体设备是由晶圆代工业拉动的复苏,国内的设备业是靠着存储器晶圆制造大厂陆续扩产而受惠,国金证券研究所估计国内半导体生产销售额将于2020/2021年的同比大幅增长45%/30%,半导体设备自给率预计将从2019年的13%,提升到2021/2022年的17%。

存储器,晶圆代工扩产高峰期:上一段提到国内存储大厂长江存储、合肥长鑫,紫光重庆将进入未来20年的扩产期,加上中芯国际及弘芯将在2021年首次跨入7nm工艺量产及华虹于2020年首次跨入12”90/55nm,量产,这些扩产将明显拉动国内半导体设备销售进入新一轮高景气周期。

禁售半导体设备的机会:自从美国宣布对华为及其68家子公司,中科曙光,天津海光先进技术,成都海光集成,成都海光微电子,海康,大华,科大讯飞,旷视,商汤,厦门美亚柏科,依图,颐信科技进行半导体产品及应用软件/作业系统软件封锁以来,美国持续想要扩大对中国先进科技发展的封锁,对福建晋华未审先判的禁售设备就是就是一例,而美国Trump政府若将先进半导体设备禁售作为手段来要求晶圆代工大厂台积电,中芯国际不能供货给实体清单公司中的半导体设计部门,国内要建立与投资一条龙的非美设备产业链(中/台,日,韩,欧洲)就对国内半导体设备行业是一大利好,而且会受到中美贸易战影响而扩大。

各领域设备龙头出现:未来随着国内存储芯片厂商的资本开支增长,刻蚀机设备将超过光刻机成为价值量最大的半导体设备类别,北方华创和中微半导体分别在硅刻蚀和介质刻蚀成为国内龙头。另外先进制程工艺向7nm及以下迈进,清洗步骤大幅增加,国内至纯科技在中高端单片清洗设备处于领先地位,目前多款设备也在长江存储认证,而武汉的精测电子从LCD面板测试转进存储器测试也是一例。

6、半导体材料领域遍地开花

3DNAND推出加上逻辑芯片7nm及以下更先进制程对于清洗、抛光和光刻步骤增加,未来5年全球半导体材料市场CAGR达到3.6%。2019年全球半导体材料销售规模缩减1.2%,降低至470亿美元,预计至2023年全球半导体材料市场规模复合增速约为3.6%。

全球化学机械抛光材料(CMP)销售额预计在2019年将增至27亿美元,其中抛光液(Slurry)14亿美元,抛光垫(Pads)10亿美元和调节剂(Conditioners)3亿美元。更先进的逻辑芯片工艺会要求更多的抛光环节,比如14纳米以下逻辑芯片工艺要求的关键CMP工艺将达到20步以上,使用的抛光液将从90纳米的五六种抛光液增加到二十种以上,种类和用量迅速增长。除了逻辑芯片的制程不断提升,NAND存储芯片的也在经历从2D向3D结构的转变,对于CMP抛光步骤数近乎翻倍,大幅提升抛光材料的需求。国内NAND存储芯片厂商长江存储的64层3DNAND产品量产在即,而且创新性的提出了“X-tacking”3DNAND的产品架构,未来两年国内存储芯片放量将推动国内抛光液材料需求的进一步增加。

湿化学电子品的未来五年复合增速将超过5%,因为单片清洗设备的渗透率提升使得湿化学电子品的用量相较于传统清洗设备大幅增加,而且随着制程工艺向更先进的7nm及以下演进,所需要更多的湿法清洗步骤进行硅片表面处理和残留物清洗。全球光刻胶材料市场2019年将增长至32亿美元,其中光刻胶(photoresists)销售额约17亿美元,扩展材料(extensions)和辅助材料(Ancillarymaterials)市场规模分别为9亿美元5.8亿美元,即使有贸易战等不确定因素,预计至2023年全球半导体用光刻胶需求将增至40亿美元。

大硅片、溅射靶材和抛光材料有望率先突破:2019年7月日韩禁售战开始,日本对韩禁售氟聚酰亚胺,光阻剂和高纯度氟化氢气体,由于日本在全球半导体材料具有垄断性优势,这一禁令对韩国面板和存储芯片发展形成了巨大的打击,日韩贸易战也为我国发展显示面板和存储芯片行业敲响警钟。不得不承认,相较于我国已经突破的制造环节和正在突破的设备环节,半导体材料的技术壁垒更高,目前绝大多数半导体材料的供应都在美国、日本和欧洲厂商。国家相继出台了“02专项”扶持国内半导体材料的发展,目前国内已经在半导体用溅射靶材,抛光液和抛光垫等细分领域取得突破。但是在技术壁垒更高,市场规模更大的大硅片、高纯电子气体,光刻胶和湿电子化学品领域仍处于突破进行时。我们认为大陆晶圆厂相继投产以后会快速拉动国内已经实现技术突破的材料实现快速替代,尤其是以长江存储和中芯国际为主的两大晶圆厂商对于国内半导体材料的支持,目前进度较快的是菲利华(光掩模版原料),鼎龙股份(抛光垫和折叠PI),江丰电子(溅射靶材),安集科技(抛光液和清洗液)和大硅片(中环股份)。

 7、显示面板行业供给大降,只待需求爆点

从2018年开始至今,大尺寸液晶电视面板价格经历了长达两年的下跌,2019年以来平均跌幅超过20%。导致面板价格持续下探最根本的原因还是行业供给过剩情况较为严重,我们通过对全球仍在运行的7代以上大尺寸产线产能进行统计,按照10%的供需比作为较为健康的行业供需红线,2018年供给超过当年面板需求22%,2019年供需比仍然维持在20%以上的高位,所以面板价格一直“跌跌不休”。

韩厂三星和LG等面板龙头厂商由于大尺寸价格跌至现金成本以下开始考虑退出大尺寸产线产能,如果按照两家厂商的退出计划进行测算,2020年行业供需关系有望大幅改善,行业供需比将下降至8%,恢复健康的供应关系,而且明年2季度开始,东京奥运会带动的大尺寸面板备货需求有望成为驱动面板价格上涨的关键因素,建议投资者可以积极把握TCL集团和京东方两大面板的巨头投资机会。

柔性OLED面板产能供应紧张,折叠手机新时代:手机面板领域,通过技术创新提升产品竞争力成为各家面板厂的核心竞争策略,因为手机相对于电视技术迭代更快,新一代的手机面板生命力可能只有半年左右,只有紧跟手机厂创新节奏的企业才能存活下来。柔性AMOLED手机屏幕凭借更低功耗,更薄屏幕和更高的屏占比已经成为各大手机品牌厂商笼络消费者的法宝,我们预计随着面板厂商良率提升成本会逐渐下降,柔性OLED手机面板的渗透率有望从2019年的15%稳步提升2023年的38%。如果柔性OLED手机按照这样的渗透率提升成长,即使国内AMOLED产线均开出产能,由于良率提升较慢,产能导入周期长等因素行业有可能出现产能供应不足的情况。柔性OLED手机真正吸引消费者的地方还在于“可折叠”的拓展性,满足“手机”+“平板”二合一的功能,我们预计折叠手机出货量有望从今年的100万部左右增长至2023年的3260万部,成长超过30倍。柔性OLED屏幕的快速发展将带动配套产业链的快速成长,OLED发光材料全球龙头UDC(OLED.O)和国内折叠PI材料龙头鼎龙股份会率先受惠。

面板产能集中大陆,配套材料国产替代大放异彩:2018年中国大陆显示面板产能(包括AMOLED)占全球比重接近40%,已经超越韩国和中国台湾地区成为全球显示面板最大的制造基地,预计未来五年产能仍然以8%的复合增速(CAGR)成长。而韩国是主要LCD产能退出的地区,未来五年每年产能减少2.5%,预计到2021年将仅剩下16%的产能。从大尺寸液晶面板产能(不包括AMOLED)来看,韩国占全球显示面板产能比重将从2018年的24%降至2022年的11%,市场份额降幅超过一半,60%以上的液晶显示面板产能将确定性的转移中国大陆地区。我们认为国内显示面板材料配套企业将迎来黄金发展期,一方面本土供应链在成本端更具性价比,相较于台湾和韩国等地区人力资源成本优势明显,另一方面我国显示面板材料企业与京东方、华星光电和惠科等作为后起之秀的大陆面板厂商一起研发产品,在本土化的服务上具有先发优势。

显示面板材料持续国产替代:虽然我国显示面板产能占比逐渐走高,但是配套的显示面板材料企业国产化比例很低,仍然存在巨大的替代缺口。以占显示面板成本10%的偏光板产业为例,我国大尺寸液晶面板产能已经接近全球的50%,但是我国本土偏光板厂商市占率合计不足10%。我们认为显示面板产业国产化替代将从中游的制造向上游的材料过度,其中在偏光板技术和产能具有综合优势的三利谱有望迎来高速成长期,另外已经登录科创板的掩模版龙头清溢光电和即将上市的液晶材料八亿时空均为国内显示面板供应链的优质企业均有望受益面板上游材料国产化的红利。

8、非美核芯设计替代的赢家

自从美国Trump政府宣布将华为及其68家子公司,中科曙光,天津海光先进技术,成都海光集成,成都海光微电子,海康,大华,科大讯飞,旷视,商汤,厦门美亚柏科,依图,颐信科技放到实体清单,进行半导体产品技术及应用软件/作业系统软件禁售封锁以来,国内产品系统公司才恍然大悟,加速转向培养,扶持,加速认证非美核芯产品设计公司,当然优选国内半导体产品设计公司来全面承接,但如果技术差距太大,依序选中国台湾,韩国,日本,欧洲设计公司来替代美国的核心设计。如用海思,紫光展瑞,联发科(取代高通),海思,三安,卓胜微(取代Avago,Skyworks,Qorvo),韦尔/豪威(取代索尼,三星),闻泰安世,圣邦,矽力杰(取代Ti,Maxium,OnSemi,Diodes,AnalogDevices),汇顶,神盾(取代Synaptics,FPC),兆易创新,北京君正(取代镁光,Cypress,旺宏,华邦),长江存储,合肥长鑫,紫光重庆(取代镁光,三星,海力士),澜起(取代IDT/Renasus,Rambus),海思,飞腾,龙芯,上海兆芯(取代Intel,AMD的x86CPU)。我们估计这结构性的趋势变化,在未来5-10年内,会轻易的让美国半导体纯设计公司的全球份额从2018年的68%,降到50%以下,美国IDM(设计/制造一体的公司如Intel,TI)的份额从46%,降到35%以下。

因为这趋势性结构的变化,我们预期中国大陆纯半导体设计销售额将于2020/2021年加速增长30%/26%,未来6年(2019-2025)的营收复合增长率达19%,远优于全球纯半导体设计销售额2020/2021年增速的9%/8%,及7%6年CAGR。国金证券研究所估计国内纯半导体设计自给率预计将从2019年的26%,快速提升到2021/2022年的36-37%。

模拟IC是长坡厚雪好赛道:全球模拟芯片市场规模增长稳健,中国市场增速较快。2017年,全球模拟芯片销售额527亿美元,约占半导体市场规模的12.8%,过去10年复合增速达3.76%。2017年中国模拟芯片市场销售额达2302.6亿元,同比增长15.42%,显著高于全球增速。

模拟IC在半导体中增速最快。物联网相关应用的崛起导致传感器、电源管理器和模拟信号处理器的需求量大幅增加;随着电池供电与互联消费产品及电动车的涌现,模拟集成电路的重要分支功率集成电路需求将显著增加;而在人工智能方面,为了在更低功耗下完成机器学习所需的海量数据处理,业界正在尝试使用混合信号IC解决,这就推动了对于高功能ADC(模数转换器)的需求。据ICinsights预测,2017-2022年模拟芯片市场复合增速将达6.6%,位于半导体行业之首。

模拟IC总体特点高门槛,高度分散,产品周期长。模拟IC市场高度分散,进入门槛高于数字IC市场。进入壁垒的主要原因是缺乏熟练的模拟工程师,以及模拟电路的设计更像是一门艺术,模拟电路不需要大量资金投入,而是专注于功能集(性能,功能价值),质量和可靠性。因此,一旦产品被设计到电子系统中,模拟公司就享有相对较长的产品周期和强大的盈利能力。具体来说:

模拟工程师的短缺,优秀工程师需要很长从业年限:目前全球来看模拟设计工程师都相对短缺,大多数大学课程侧重于数字设计,导致大学课程短缺,大多数模拟工程师通过在职培训来学习他们的技能,因此他们可能需要两到三倍的时间才能变得像数字工程师一样高效。而且优秀的模拟工程师需要长时间经验磨练,大多数最好的模拟设计工程师在模拟领域拥有20到30年的经验。

非标准化的设计和制造,更像一门艺术。虽然数字设计侧重于使用先进的CMOS工艺技术提高速度和性能并降低成本和功耗,但模拟产品通常是需要非常精确规格的输出信号,一般采用双极性,BiCMOS和BCD制造,这种精度是电路设计和制造过程之间精心匹配的结果,没有“标准”的过程。

缺乏专业设计自动化软件以及复杂的测试要求。电子设计自动化软件的可用性严重偏向于数字电路设计。在模拟电路中的结构非常不同,它们往往针对特定客户和应用进行高度定制。缺乏规律性降低了设计过程中软件自动化的影响力。同时,模拟电路的测试也更加复杂,数字测试仪只需要在已知时间对高压或低压电平进行采样,模拟测试仪必须能够测量中间电压电平,并且对精密模拟设备的公差要求更严格。模拟测试还需要考虑系统中使用的电阻器和电容器产生的噪声和失真。

有很多专用产品且市场分散。一方面,模拟IC中许多产品都是针对一个应用程序为一个客户设计的,因此往往是专有产品并且是独家采购的,竞争不是基于价格,而是基于功能集,质量,可靠性和服务。另一方面,模拟IC产品市场较为分散,由许多利基市场组成。不同的终端用户在精度,速度,功率,线性度和信号幅度能力方面对模拟IC有不同的要求。

ASIC模拟IC占比较大,通用型产品具有更高利润率。ASIC模拟IC目前占比61%,但是由于利润率较低,产品周期较短,客户集中度较高以及波动性较高,因此其商业模式相对于通用产品供应商的吸引力较小。标准产品通常具有更长的产品周期并且产生更高的利润。

产品生命周期长,资本投入相对较低,盈利稳定。在摩尔定律的推动下,数字电路需要先进的制造工艺来减小芯片尺寸并提高性能,需要对资本进行大量投资。相反,生产模拟电路的资本要求要低得多,因为需要更大的电路特征尺寸来设计和制造以保障高精度和高耐压能力,因此,模拟公司资本投入相对较低。模拟产品通常比数字产品具有更长的寿命,而且更新迭代慢,更加注重性能而非价格,例如,工业市场中的一些产品的生命周期超过十年。

长寿命意味着随着公司品类扩张,产品累加,收入会不断重叠累加。由于产品生命周期较长,模拟IC厂商随着产品数量的累加,收入将随着时间的推移不断增加。而数字IC产品生命周期要短得多,重叠的收入情况使模拟公司能够产生相对更稳定的收入和财务指标。

模拟芯片下游应用市场分布广泛,通信和汽车占比不断提升。模拟芯片广泛应用于无线通信、汽车、工业、消费电子、电脑等领域。其中,通信和汽车占比提升较为明显。

手机摄像头单机用量增加:智能手机将会是未来几年CIS市场的主要推动力来自于两方面的原因:①从双摄到三摄到四摄,摄像头数量不断增长;②摄像头不断升级。(1)手机摄像头数量将不断增加。根据IDC的数据,2018年Q4以来,三摄渗透率快速提升,2019Q2以来,四摄开始加速渗透,占比达1.9%,而三摄渗透率在2019Q2达到13%。我们统计了2019年1月到9月发布的手机,三摄和四摄的占比也越来越高,其中华为在三摄和四摄采用上最为积极。单手机摄像头数量有望不断增加,但手机摄像头平均用量到2021年将接近3.6个,高端旗舰机有望增加到8-9个。根据Yole的预测,高端旗舰手机相机配置方案预测到2023年单机摄像头用量有望增加到8-9个,而整体手机平均摄像头用量将由2018年的2.6个增加到2021年底接近3.6个。

摄像头不断升级带来ASP提升:过去几年以索尼,三星,豪威为代表的CIS龙头厂商技术不断升级,不仅仅是像素从最早8M/16M升级到48M/64M,还有堆叠技术的不断升级等等。我们统计的2019年1月-9月主要OEM厂商发布的新型号,一半以上的手机采用40/48M,48M成为主流像素。每个手机中摄像头的不断升级,CIS芯片在手机中的ASP将不但增加,预计2017-2024年ASP的复合增速将达到6.2%,其中2019-2021年增速更快。随着单个手机摄像头数目不断增加,以及摄像头的不断升级,CIS芯片将充分受益光学升级。韦尔豪威在CIS领域全球第三,汽车市场全球第二,安防市场全球第三,将充分享受行业增长红利。贸易战背景下,国产替代加速,份额有望持续提升。

屏下指纹转超薄和LCD全屏幕识别开始渗透:屏下指纹技术在2019年开始进入产业化的爆发期。随着屏下指纹技术的成熟和全面屏的普及,屏下指纹方案有望逐渐成为主流配置。根据国金数据,目前,在新增设备中,屏下指纹占比超过32%左右;在存量设备中,屏下指纹占比不足7%,潜在空间巨大。目前的光学屏下指纹芯片只应用于OLED屏幕的手机,一方面,随着OLED手机渗透率的提升将带来光学屏下指纹识别渗透率的提升;另一方,适用于LCD屏的指纹识别芯片有望今年年底推出,将带来屏下指纹识别的加速渗透;同时,为了加大电池容量,适用于5G手机的超薄款屏下指纹识别产品已经推出,将伴随5G手机的渗透实现量价齐升,还有LCD全屏识别可增加双指识别的可靠度。

手机射频前端:变化中的机会,结构性的增长:在营运商网络部署初期,毫米波手机使用效益相对较低,同时由于成本与体积问题的存在,预计2019-2022年将以Sub6G为主。全球射频前端市场空间到2022年将超300亿美元,复合增速高达14%。5G技术的升级和变化带来射频前端器件数量和价值量的提升,全球射频前端市场将由2017年的151亿美元,增加到2023年的352亿美元,年复合增速高达14%。

结构性:滤波器>LNA/开关/调谐>PA:射频前端器件虽然整体是高增长的,但是不同的射频前端器件增长也是结构性的。其中滤波器由于跟频段数相关,增加频段就要增加滤波器,因此滤波器未来几年复合增速高达19%,而PA由于是化合物半导体工艺,带宽较宽,因此可以多个频段共用一个PA,数量上增速相对缓慢。

4G射频12-20美元到5G射频的>30美元?据Gartner的数据,4G高端手机射频前端价值量约12.5美元,4G旗舰级的射频前端价值量约为19.2美元,LTE旗舰/高端智能手机的RF前端美元总内容约为12-20美元。5G射频前端初期价格很高,按目前价格,5Gsub6G的2T4R旗舰机,射频前端价值量将高达37美金,根据测算,2020年年中中高端手机有望降到28美金,到2020年底或2021年,5G渗透率持续下沉,射频前端价值量有望降到20美元出头。

基站GaN替代LDMOS,GaAs也有增加:LDMOS是4G基站的主流技术,仅在不超过3.5GHz的频率范围内有效。但GaN更适用于高频通信,目前已经能够处理50GHz及以上的毫米波频率,同时GaN具有更高功率密度特性,其原始功率可以达到LDMOS的4倍,也就是相同发射功率规格下,GaN裸片尺寸仅更小装,满足MassiveMIMO和AAU技术下射频前端高度集成的要求。GaN是极稳定的化合物,具有强的原子键、高的热导率、在Ⅲ-Ⅴ族化合物中电离度是最高的、化学稳定性好,使得GaN器件比Si和GaAs有更强抗辐照能力,同时GaN又是高熔点材料,热传导率高,GaN功率器件通常采用热传导率更优的SiC做衬底基板,因此GaN功率器件具有较高的结温,能在高温环境下工作。

GaN将在高功率,高频率射频市场优势明显:相比于4G,5G的通信频段往高频波段迁移。目前我国4G网络通信频段以2.6GHz为主,2017年工信部发布了5G系统在3-5GHz频段(中频段)内的频率使用规划,后期会逐步增补6GHz以上的高频段作为容量覆盖。相较于基于Si的横向扩散金属氧化物半导体(SiLDMOS,LateralDouble-diffusedMetal-oxideSemiconductor)和GaAs,在基站端GaN射频器件更能有效满足5G的高功率、高通信频段和高效率等要求。目前针对3G和LTE基站市场的功率放大器主要有SiLDMOS和GaAs两种,但LDMOS功率放大器的带宽会随着频率的增加而大幅减少,仅在不超过约3.5GHz的频率范围内有效,而GaAs功率放大器虽然能满足高频通信的需求,但其输出功率比GaN器件逊色很多。然而,在移动终端领域GaN射频器件尚未开始规模应用,原因在于较高的生产成本和供电电压。GaN将在高功率,高频率射频市场发挥重要作用。预计到2025年GaN将主导RF功率器件市场,抢占基于硅LDMOS技术的基站PA市场。根据yole的数据,2014年基站RF功率器件市场规模为11亿美元,其中GaN占比11%,而横向双扩散金属氧化物半导体技术(LDMOS)占比88%。2017年,GaN市场份额预估增长到了25%,并且预计将继续保持增长。预计到2025年GaN将主导RF功率器件市场,抢占基于硅LDMOS技术的基站PA市场。预计2022年,4G/5G基础设施用RF半导体的市场规模将达到16亿美元,其中,MIMOPA年复合增长率将达到135%,射频前端模块的年复合增长率将达到119%。

未来5~10年内GaN将逐步取代LDMOS:逐渐成为3W及以上RF功率应用的主流技术。而GaAs将凭借其得到市场验证的可靠性和性价比,将中频放大和小基站市场确保其稳定的市场份额。LDMOS的市场份额则会逐步下降,预测期内将降至整体市场规模的15%左右。Yole预测至2023年,GaNRF器件的市场营收预计将达到13亿美元,约占3W以上的RF功率市场的45%。我们认为随着未来几年5G基站建设加速,5G手机开始快速渗透,5G射频半导体将迎来行业需求增长和国产替代的双重机会。


格隆汇声明:文中观点均来自原作者,不代表格隆汇观点及立场。特别提醒,投资决策需建立在独立思考之上,本文内容仅供参考,不作为实际操作建议,交易风险自担。

相关阅读

评论